▶ 調査レポート

半導体材料の世界市場2021-2026:成長・動向・新型コロナの影響・市場予測

• 英文タイトル:Semiconductor Materials Market - Growth, Trends, COVID-19 Impact, and Forecasts (2021 - 2026)

Semiconductor Materials Market  - Growth, Trends, COVID-19 Impact, and Forecasts (2021 - 2026)「半導体材料の世界市場2021-2026:成長・動向・新型コロナの影響・市場予測」(市場規模、市場予測)調査レポートです。• レポートコード:MRC2103A465
• 出版社/出版日:Mordor Intelligence / 2021年2月20日
• レポート形態:英文、PDF、100ページ
• 納品方法:Eメール(受注後2-3営業日)
• 産業分類:材料
• 販売価格(消費税別)
  Single User¥629,000 (USD4,250)▷ お問い合わせ
  Team User¥703,000 (USD4,750)▷ お問い合わせ
  Corporate License¥1,110,000 (USD7,500)▷ お問い合わせ
• ご注文方法:お問い合わせフォーム記入又はEメールでご連絡ください。
• お支払方法:銀行振込(納品後、ご請求書送付)
レポート概要
本調査資料では、世界の半導体材料市場について調査し、イントロダクション、調査手法、エグゼクティブサマリー、市場概要、市場動向、材料別(炭化ケイ素(SiC)、ガリウムマンガンヒ素(GaAs)、銅インジウムガリウムセレン化物(CIGS)、二硫化モリブデン(MoS₂)、テルル化ビスマス(Bi2Te3))分析、用途別(製作、パッケージング)分析、エンドユーザー別(家電、製造、自動車、エネルギー・ユーティリティ、その他)分析、地域別分析、競争状況、投資分析、市場の将来の項目を掲載しています。
・イントロダクション
・調査手法
・エグゼクティブサマリー
・市場概要
・市場動向
・世界の半導体材料市場規模:材料別(炭化ケイ素(SiC)、ガリウムマンガンヒ素(GaAs)、銅インジウムガリウムセレン化物(CIGS)、二硫化モリブデン(MoS₂)、テルル化ビスマス(Bi2Te3))
・世界の半導体材料市場規模:用途別(製作、パッケージング)
・世界の半導体材料市場規模:エンドユーザー別(家電、製造、自動車、エネルギー・ユーティリティ、その他)
・世界の半導体材料市場規模:地域別
・競争状況
・投資分析
・市場の将来

The Semiconductor Materials Market was valued at USD 54.67 billion in 2020 and is expected to reach USD 69.81 billion by 2026, at a CAGR of 4.17% over the forecast period from 2021 to 2026. The increasing advancements in the semiconductor industry and the growing requirements for advanced semiconductor products are some of the major factors driving the investments in the semiconductor material market. Although the semiconductor industry is witnessing a fluctuating growth in revenues currently, the growing need for an advanced product is still motivating the market vendors and end-user organizations to invest in the development of semiconductor materials.

– In the current market scenario, a significant portion of electronic devices, including laptops, smartphones, computers, etc., still use ICs and other semiconductor devices manufactured from silicon substances. Although silicon is still dominating the primary applications in the market, new materials replace the previous substrates and packaging for a few uses. For instance, the popularity of GaN material in power semiconductors has led the manufacturers to switch to this material. Owing to speed and efficiency, GaN-based transistors are finding newer applications, particularly in optoelectronics, compared to SiC-based ones. GaN has a thousand times the electron mobility than silicon and relatively stable operability at higher temperatures.
– In November 2019, MEMC Korea Co inaugurated a new silicon wafer plant in South Korea, to diversify the supply channel of core strategic materials against Japan’s export control. The South Korean government also reported that the country imports 65% of the silicon wafer. About 9% of the imports can be replaced with domestic production, with the initiatives for production expansion by MEMC. The multinational firms also benefited from such disputes. DuPont invested USD 28 million in two existing factories in South Korea. It also aims to construct a new factory to make photoresists for use in the production of semiconductors. The advancements in the automotive industry are also fueling innovations in the studied market.
– The semiconductor manufacturers in Taiwan and China have increased the stock of materials amid uncertain developments after the outbreak of COVID-19, allowing material distributors, including Topco Scientific and Niching Industrial, to expand their market share. The COVID-19 has also changed the focus of the studied market vendors. During the first quarter of 2020, COVID-19 negatively affected the market, in terms of disruptions in the supply chains and shut down factories in China and other significant electronics manufacturing countries in Asia. The Germany-based company, Merck, is an essential supplier of essential semiconductor materials, specialty chemicals and equipment, and related services in the United States. However, many companies, like Merck, are still expecting mid-single-digit growth in the future.

Key Market Trends

Substrate Packaging is Expected to Hold Significant Share

– Substrates serve as the foundation for electronic devices, such as transistors, diodes, and uniquely integrated circuits (ICs). The substrates require high thermal conductivity to dissipate the heat generated by the components efficiently and quickly from the conductor layers. Moreover, among all other types, substrates have been witnessing a significant share, as it is the base material to build circuit boards and design compact assemblies. SiC substrates had been the area of focus for many years for many companies. The silicon substrates witnessed a full adoption. A close examination of the activities of the vendors in this field also suggests the same.
– For instance, in July 2019, Soitec and Kokusai Electric Corporation extended its technology partnership (via an R&D collaboration) with Substrate Innovation Center in France. The center co-launched by CEA-Leti and Soitec is an industry-inclusive hub promoting new businesses and learning from the substrate to the system level. GaN substrates have recently become essential components to be deployed across blue-violet laser diodes in recorders or BD players and the power control elements. GaN substrates are also used across optoelectronic products, such as lasers, LEDs, power electronics, and radio frequency amplifiers.
– The advent of 5G has led the devices in conjunction, and their multi-core architectures to possess high bandwidth density with low latency and high energy efficiency. Therefore, heterogeneous integration, as advanced packaging technology, is expected to meet the desired demands for performance on chips and 3D integrated circuits. In June 2020, the Indian government launched the Production Linked Incentive Scheme (PLI) for large-scale electronics manufacturing, Scheme for Promotion of Manufacturing of Electronic Components and Semiconductors (SPECS), and schemes to promote manufacturing of PCB and semiconductor substrates.
– Vendors, like Intel and TSMC, are actively focusing on 3D ICs. The former launched its Forveros 3D packaging product, which combines a 10 nm HPC chiplet with a low-energy 22 nm base die stacked with memory on top. The company equipped a substrate with a small embedded silicon connection, which allows a host chip and a secondary chiplet to connect and offers high bandwidth and short distances. The developments in upgrading packaging technology are driving the increased adoption of substrates as a packaging application.

North America is Expected to Hold Significant Share

– In the North American region, the United States has been able to maintain its competitiveness efficiently managing the global design and manufacturing supply chains, even though the consumption of the semiconductors market has shifted heavily toward the Asia-Pacific region over the past decade. This approach has included the country’s ability to keep to high-value design and production work within the United States, while offshoring low-value production. The country illustrates this trend is the largest market for China’s export. GaN, as a semiconductor material, is noted to have an established market in the region, as it is being employed extensively in LED lighting and wireless applications and power supplies.
– GaN has various characteristics which includes high-frequency operation, promote high performance while maintaining high efficiency. This had led the local manufactures of semiconductor components to opt for this material. In January 2020, MEGA Electronics announced the launch of GaN FET power supplies. Additionally, the material suppliers in the region have been expanding on capacity levels, post the trade tensions with China. In July 2019, JSR Micro Inc. announced expanding its semiconductor materials manufacturing capabilities and capacity with a new facility in Hillsboro OR. The company made an investment of USD 100 million toward the facility, scheduled to start operations in 2020.
– However, in 2020, the U.S. sanctioned new import taxes on Chinese products, which is further expected to affect the import of raw materials for semiconductors from China. In May 2019, Cree Inc. invested USD 1 billion in expanding its SiC capacity with the development of an automated 200mm SiC fabrication facility and a mega factory of materials at its Durham N.C. Campus. In 2018, Merck launched its Bright Future transformation program for its Performance Materials business sector, aiming to expand its position as a leading supplier to the electronics industry. In October 2019, Merck acquired the USbased semiconductor material supplier, Versum Materials Inc., for EUR 5.8 billion, with a focus on advanced materials for semiconductor industries.
– For the same purpose, in September 2019, Merck acquired another US-based advanced semiconductor material supplier Intermolecular Inc. for USD 62 million. In June 2020, the company launched its new and fully customer-centric organization for its Semiconductor Solution segment, consisting of semiconductor materials. Despite the challenging current situation due to the COVID-19 pandemic, the company’s Semiconductor Solutions segment was able to deliver strong growth. The company targets a revenue of EUR 75 million for its Performance Materials business segment by 2022.

Competitive Landscape

The semiconductor materials market is quite competitive and consists of significant players. However, in terms of market share, few of the major players such as LG Chem Ltd, Kyocera Corporation, Hitachi Chemical Company Ltd, etc. currently dominate the market. However, with the help of technological advancements, product innovations and partnerships, mid-size to smaller companies increase their market presence by securing new contracts and tapping new markets.

– February 2020 – Kyocera Corporation developed a proprietary technology to produce silicon MEMS resonators for the silicon MEMS market. Following Kyocera’s complete acquisition of Tikitin Oy July 2019, a Finnish venture company with advanced MEMS technology, it has achieved outstanding frequency temperature coefficient and ESR characteristics never previously attained with conventional quartz and silicon products.
– 2020 – Hitachi Chemical Co Ltd also invested about JPY 3 billion to expand its production capacity of the semiconductor polishing material “nano-ceria slurry.” By producing fewer polishing scratches on semiconductor substrates than conventional models, this new slurry can prevent circuit breakages and short of realizing fine-pitch patterns in semiconductor devices.

Reasons to Purchase this report:

– The market estimate (ME) sheet in Excel format
– 3 months of analyst support

レポート目次

1 INTRODUCTION
1.1 Study Assumptions and Market Definition
1.2 Scope of the Study

2 RESEARCH METHODOLOGY

3 EXECUTIVE SUMMARY

4 MARKET DYNAMICS
4.1 Market Overview
4.2 Industry Attractiveness – Porter’s Five Forces Analysis
4.2.1 Bargaining Power of Suppliers
4.2.2 Bargaining Power of Consumers
4.2.3 Threat of New Entrants
4.2.4 Intensity of Competitive Rivalry
4.3 Assessment of the Impact of COVID-19 on the Industry

5 MARKET DYNAMICS
5.1 Market Drivers
5.1.1 Technical Advancements and Product Innovation of the Electronic Materials
5.1.2 Rising Demand for Consumer Electronics Goods
5.1.3 Increased Demand From OSAT/Packaging Companies
5.2 Market Challenges
5.2.1 Complexity in the Manufacturing Process

6 MARKET SEGMENTATION
6.1 By Material
6.1.1 Silicon Carbide (SiC)
6.1.2 Gallium Manganese Arsenide (GaAs)
6.1.3 Copper Indium Gallium Selenide (CIGS)
6.1.4 Molybdenum Disulfide (MoS₂)
6.1.5 Bismuth Telluride (Bi2Te3)
6.2 By Application
6.2.1 Fabrication
6.2.1.1 Process Chemicals
6.2.1.2 Photomasks
6.2.1.3 Electronic Gases
6.2.1.4 Photoresists Ancilliaries
6.2.1.5 Sputtering Targets
6.2.1.6 Silicon
6.2.1.7 Other Fabrication Materials
6.2.2 By Packaging
6.2.2.1 Substrates
6.2.2.2 Lead Frames
6.2.2.3 Ceramic Packages
6.2.2.4 Bonding Wire
6.2.2.5 Encapsulation Resins (Liquid)
6.2.2.6 Die Attach Materials
6.2.2.7 Other Packaging Materials
6.3 By End User
6.3.1 Consumer Electronics
6.3.2 Manufacturing
6.3.3 Automotive
6.3.4 Energy and Utility
6.3.5 Other End Users
6.4 Geography
6.4.1 Taiwan
6.4.2 South Korea
6.4.3 China
6.4.4 Japan
6.4.5 North America
6.4.6 Europe
6.4.7 Rest of the World

7 COMPETITIVE LANDSCAPE
7.1 Company Profiles
7.1.1 BASF SE
7.1.2 LG Chem Ltd
7.1.3 Indium Corporation
7.1.4 Hitachi Chemical Co. Ltd
7.1.5 KYOCERA Corporation
7.1.6 Henkel AG & Company KGAA
7.1.7 Sumitomo Chemical Co. Ltd
7.1.8 Dow Chemical Co.
7.1.9 International Quantum Epitaxy PLC.
7.1.10 Nichia Corporation
7.1.11 Intel Corporation
7.1.12 UTAC Holdings Ltd

8 INVESTMENT ANALYSIS

9 FUTURE OF THE MARKET